Hollosi Information eXchange /HIX/
HIX TIPP 3030
Copyright (C) HIX
1998-11-24
Új cikk beküldése (a cikk tartalma az író felelőssége)
Megrendelés Lemondás
		
JAVASLATOK A MATAVNAK     http://internetto.hu/cikk/matavjav/
---------------------

Orommel vettuk Straub Elek vezerigazgato kijelenteset, miszerint a Matav
nyitottan all a konstruktiv tarifa-javaslatok elott. Februarban Straub ur az
ev vegere igert nagyobb valtozasokat. Akkori elemzeseinket es javaslatainkat
(http://helyzet.internetto.hu) az idokozben elhangzott ervek es szempontok
alapjan tovabbgondolva az alabbi csomagot allitottuk ossze a Matav tervezett
jovo januari arvaltoztatasaihoz. 

1. A hobbistak

A hobbi-internetezo csucsidon kivul (de nem ejszaka!) szeretne bongeszni es
aligha log a halon egy oranal hosszabb ideig egyhuzamban. Ok elegedettek
lesznek a Matav napokban kiszivargott partnerszam-tervevel, vagyis amikor
az elofizeto az altala megjelolt ket-harom telefonszamra allando, 20% koruli
kedvezmenyt kap. A tapasztalatok szerint kb. 5-6 ezer forintnyi
telefonszamlat hoznak ossze internetezessel havonta, ebbol ezer forintnyi
engedmeny mar targyalasi alap, ha ezert nem kenyszerulnek atallni az
ejszakai eletmodra. 

A hobbistak kapjanak hetvegi kedvezmenyt. Ez illeszkedne Matav 
tarifapolitikajaba, melynek egyik celja, hogy a holtidoket eltuntesse. 
A Matav terjessze ki a mostani ejszakai tarifat (100 Ft/ora) a hetvegere is
(most 180 Ft/ora). Ez komoly elorelepes lenne, a hetbol ket napra megoldast
jelentene. A mobil tarsasagok adnak ilyen kedvezmenyeket. A telefontarifak
alakulasa aranyos a kozpontok terheltsegevel. S hogy a kedvezotlen napszak,
a holtido se vesszen karba a tarsasagoknak, ugy ott a kedvezobb tarifa
lehet a vonzero. Holtidoben a kozpontok terheltsege a nappalinak csak
toredeke, nehany szazaleka. 

2. A nagyfelhasznalok

Akik interneten dolgoznak, tanulnak, szeretnek napkozben hosszu orakig is
hasznalni a vonalat. Szamukra tobbek kozott onallo, kizarolag internetezesre
alkalmas kulon telefonvonal - berelt vonal - kiepitese jelenthet megoldast.
Ezen a vonalon csak internet-szolgaltatokat lehessen hivni, a tarifa legyen
atalanydijas. Atlagos hasznalat mellett a mostani ejszakai tarifa
(100 ft/ora) aran lehessen a szolgaltatok szamait hivni: igy az atalanydij
(napi 3 oras hasznalat alapjan) maximum 9 000 Ft legyen. Ez a vonal csak
adatforgalomra hasznalhato, ezert telepitese legyen olcsobb az uj vonalert
fizetendo szokasos dijnal: szukkoru kozvelemenykutatasunk szerint a piac
elfogadna az egyszeri 8 ezer forintos belepesi dijat. Tudomasunk szerint
ehhez hasonlo szolgaltatast mar ajanlott a Matav a Matavnet elofizetoinek. 

A csomag kialakitasaban, kikiserletezeseben az internetes kozosseg is szerepet
kaphatna. A HIX es az iNteRNeTTo olvasoi kozul sokan vallalnak a mintacsoport
szerepet. Nehany szaz egyeni berelt vonal felszerelesevel a Matav elemezhetne
ezek felhasznaloi szokasait es pontos kepet kaphatna a szeleskoru bevezetes
varhato eredmenyerol. 

Nyiro Andras, iNteRNeTTo 
Vince Matyas, MUOSZ Internet Tagozat 
Hollosi Jozsef, HIX
1 sorkatonai szolgalat (mind)  22 sor     (cikkei)
2 freemail (mind)  29 sor     (cikkei)
3 allaslehetoseget keresek (mind)  5 sor     (cikkei)
4 Legalize it!! (mind)  12 sor     (cikkei)
5 Kibernet vagy nem Kibernet? (mind)  71 sor     (cikkei)
6 szemelykereses (mind)  13 sor     (cikkei)
7 [TELEKOCSI] Paris-Budapest most penteken (mind)  16 sor     (cikkei)
8 Re: es megint Matav ( 23 sor ) (mind)  40 sor     (cikkei)
9 Re: bunkofonos eset (mind)  13 sor     (cikkei)
10 si ut januarban (mind)  6 sor     (cikkei)
11 Gorkorcsolya-tankonyv (mind)  9 sor     (cikkei)
12 51-es kod... (mind)  4 sor     (cikkei)
13 R.E.M. koncert Becsben - radion (mind)  11 sor     (cikkei)
14 NeTuddKi Gabornak - sorozasrol (mind)  14 sor     (cikkei)
15 Szikrazas (mind)  11 sor     (cikkei)
16 levelezesi lista (mind)  15 sor     (cikkei)
17 netuddki (mind)  15 sor     (cikkei)
18 Re: bunkofonos eset (mind)  21 sor     (cikkei)
19 Parkinson betegseg mutetes gyogyitasa Magyarorszagon (mind)  10 sor     (cikkei)
20 Csucsforgalom (mind)  7 sor     (cikkei)
21 Tukory ujra (mind)  10 sor     (cikkei)
22 Re: Tukory ujra (mind)  22 sor     (cikkei)
23 Re: bunkofonos eset (mind)  31 sor     (cikkei)
24 Re: toltelek a kovetkezo (mind)  23 sor     (cikkei)
25 SANYO deck fej (mind)  21 sor     (cikkei)
26 Re: bankkartya kerdes ismet (mind)  28 sor     (cikkei)
27 Zenei kerdes --> dzsessz (mind)  10 sor     (cikkei)
28 Re: szikrazas (mind)  24 sor     (cikkei)
29 Re: szikrazas (TIPP.3029..981123) (mind)  31 sor     (cikkei)
30 NOTE 11/24/98 07:16:21 (mind)  9 sor     (cikkei)
31 Kibernet (mind)  26 sor     (cikkei)
32 Re:Elender vs. Kibernet (mind)  12 sor     (cikkei)
33 Re: *** HIX TIPP *** #3022 (mind)  49 sor     (cikkei)
34 Keresem Bokor Petert (mind)  13 sor     (cikkei)
35 1999 = 1998 - 100 Ft? (mind)  9 sor     (cikkei)
36 bioptron (mind)  7 sor     (cikkei)
37 segitseg? kell neki (mind)  19 sor     (cikkei)
38 Mercedes W110 (mind)  23 sor     (cikkei)
39 gravirozas (mind)  8 sor     (cikkei)
40 proba internet (mind)  8 sor     (cikkei)
41 Re: soapshoes (mind)  8 sor     (cikkei)
42 Re: Dollar --> Forint (mind)  17 sor     (cikkei)
43 telefoncsengo es Re: Keparchivumokat keresek (mind)  20 sor     (cikkei)
44 HUTO + Mark ugyei (mind)  59 sor     (cikkei)
45 A fantasztikus Leonida-hullas megfigyelesenek "igaz tor (mind)  82 sor     (cikkei)
46 nemet marka (mind)  10 sor     (cikkei)
47 Re: Felhaborito - BME ! (mind)  25 sor     (cikkei)
48 Ho (mind)  11 sor     (cikkei)
49 KiberNet (mind)  42 sor     (cikkei)
50 Re:Cim kerdes (mind)  14 sor     (cikkei)
51 Re: Norbi allast keres (mind)  7 sor     (cikkei)
52 Re: Felhaborito - BME ! (mind)  19 sor     (cikkei)
53 Szikrazas! (mind)  26 sor     (cikkei)
54 Re:Keressuk a vilag legjobb fickojat (mind)  13 sor     (cikkei)
55 Re-agalas (mind)  26 sor     (cikkei)
56 OKTATAS! (mind)  14 sor     (cikkei)
57 Re: Tulfeszultseg (mind)  8 sor     (cikkei)
58 Re: Re: Terero: (mind)  14 sor     (cikkei)
59 20Watt ho (mind)  10 sor     (cikkei)
60 cows (mind)  11 sor     (cikkei)
61 ucn kartya (mind)  17 sor     (cikkei)
62 re: 26 cent/min Mo-ra :-( (mind)  7 sor     (cikkei)
63 erintokepernyo (mind)  9 sor     (cikkei)
64 Programok (mind)  18 sor     (cikkei)
65 Re: nyeroter (mind)  14 sor     (cikkei)
66 Re: Matav? Elender? Datanet? (mind)  15 sor     (cikkei)
67 Re: bunkofonos eset (mind)  34 sor     (cikkei)
68 Telefonszamvaltozas Spanyolorszagban nov. 14. (mind)  15 sor     (cikkei)
69 Bernadotte (mind)  4 sor     (cikkei)
70 Terero (mind)  21 sor     (cikkei)
71 terero (mind)  3 sor     (cikkei)
72 TV zavar (mind)  13 sor     (cikkei)
73 tippet kernek! (mind)  5 sor     (cikkei)
74 rajzfil szerkeszto progi (mind)  11 sor     (cikkei)
75 hol kaphato (mind)  3 sor     (cikkei)
76 Cimet keresek (mind)  3 sor     (cikkei)
77 Az ACDSee32 regisztrációja (mind)  3 sor     (cikkei)
78 Vizsla (mind)  10 sor     (cikkei)
79 change of address (mind)  2 sor     (cikkei)
80 [HIRDETES] munkat keresek (mind)  11 sor     (cikkei)
81 [HIRDETES] Elado ACORP TX alaplap (mind)  9 sor     (cikkei)
82 [HIRDETES] Lakas elado (mind)  10 sor     (cikkei)
83 [HIRDETES] csere-bere (mind)  4 sor     (cikkei)
84 [HIRDETES] Telekocsit keresek Parizsba (mind)  12 sor     (cikkei)
85 [HIRDETES] Elado scanner, kamera es Toshiba notebook (mind)  10 sor     (cikkei)
86 [HIRDETES] Fw: baba merleg (mind)  2 sor     (cikkei)
87 [HIRDETES] SIEMENS S3+ (mind)  5 sor     (cikkei)
88 [HIRDETES] NOTEBOOK-ot vennék! (mind)  7 sor     (cikkei)
89 [HIRDETES] proci kellene (mind)  5 sor     (cikkei)
90 [HIRDETES] Sharp Zaurus 3000+faxmodem (mind)  4 sor     (cikkei)
91 [HIRDETES] Lakast keresek (mind)  5 sor     (cikkei)
92 [HIRDETES] 5,8 literes ZEPPTER edenyek eladok (mind)  7 sor     (cikkei)
93 [HIRDETES] Wichester (mind)  4 sor     (cikkei)
94 [HIRDETES] ELADO (mind)  13 sor     (cikkei)
95 [HIRDETES] Sony surround hangszorok (mind)  7 sor     (cikkei)
96 [HIRDETES] OLCSO MATRIX! (mind)  4 sor     (cikkei)
97 [HIRDETES] Themen Neu 1-2... (mind)  6 sor     (cikkei)
98 [HIRDETES] PII alaplap elado (mind)  8 sor     (cikkei)
99 [HIRDETES] [hirdetes] (mind)  12 sor     (cikkei)

+ - sorkatonai szolgalat (mind) VÁLASZ  Feladó: (cikkei)

>_nagyon surgos_ segitseg kellene abban a kerdesben, hogy
>milyen szabalyok vonatkoznak arra a besorozando fiatalra,
>akinek egy gyermeke van es o a csaladfenntarto. Mind
>sorkatonai szolgalat, mind polgari szolgalat szempontjabol
>erdekelne a dolog.

Egy gyermekes apa legfeljebb csak halasztast kerhet azert, mert o a
csaladfenntarto, egy ido utan mindenkepp be kell vonulnia.

A polgari szolgalattal mas a helyzet, azt mindentol fuggetlenul
kervenyezheted, es nem a katonasag, hanem lakohelyed onkormanyzata
(jegyzoje) donti el, hogy megadja e Neked ezt a lehetoseget.
(Lelkiismereti, vallasi, neveltetesei, stb okok alapjan). Ez gyakorlatilag
azt jelenti, hogy ha ugy erzed, nem akarsz katona lenni, akkor nem is leszel.
Utana mar csak azzal kell ugyeskedni, hogy valami jo helyre kerulj arra a
masfel evre, amit le kell dolgoznod a katonasag helyett. Ezt is az
onkormanyzat donti el Nem mindegy, hogy wc-pucolo leszel egy korhazban,
vagy konyvtaros a helyi konyvtarban.

Ha tovabbi kerdesed van, fordulj hozzam maganban.
Udv:
Glo'ner Csaba
+ - freemail (mind) VÁLASZ  Feladó: (cikkei)

>Kezdo Internetezo vagyok, szeretnek tanacsot kerni, hogy hol lehet >ingyenes
>e-mail
>cimet kesziteni.

Ha mar van egy Freemail-es cimed, miert akarsz masikat?

En már a kezdetektol használom a Freemail-rendszert, és mondhatom, hogy a
kezdeti nehezsegek, kavarodasok utan most mar egesz jol mukodik. Elonye az
is, (Ezt nem minden ceg tudja) hogy bongeszovel is, ill. barmilyen
levelezoprogrammal is elerheto.

Ha kulfoldi cimet szeretnel, a Softhome-t ajanlom.
Mivel amerikai, joval lassabb, mint a Freemail, de a cimed rovidebb lesz:

(regisztracio: http://www.softhome.net)

Udv:
Glo'ner Csaba
/\/\/\/\/\/\/\/\/\/\/\/\/\/\/\

Glóner Csaba

     



http://www.elender.hu/~gloner/nyelvst.htm

/\/\/\/\/\/\/\/\/\/\/\/\/\/\/\
+ - allaslehetoseget keresek (mind) VÁLASZ  Feladó: (cikkei)

Ferjem edesanyjanak (50 eves, 25 eves vezetoi tapasztalat a PIERT helyi
kepviseleteben) keresunk barmilyen allaslehetoseget, ami jo megjelenest,
hatarozottsagot, szervezokeszseget igenyel (cegkepviselet, aruk
forgalmazasa) Tolna megyeben. 
mail to: 
+ - Legalize it!! (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok!

Szuksegem lenne egy olyan kepre ami egy cannabis levelet abrazol 
"legalize it" felirattal, vagy egy valamilyen jo minosegu fotora elyen temaban.
Ha van ilyesmid legszi irj.

Koszi, Gyozo
~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
mailto:     http://www.extra.hu/loopus         
http://members.tripod.com/~sgyozo              ICQ UIN: 8295995
_______________________________________________________________ 
Barminek ellen tudok allni, kiveve a kisertest.
+ - Kibernet vagy nem Kibernet? (mind) VÁLASZ  Feladó: (cikkei)

Hello TiPPesek,

Haaaaat, egy tegnapi level buzditasara megneztem a =

http://www.kibernet.hu - t, mondvan, ugysem lehet olyan =

rossz, ha mar egyszer szolgaltato. Tevedtem :(

Huuu, eskuszom, hogy ilyen igenytelen weblapot meg eletemben =

nem lattam! <IMHO> Semmi hatter, semmi grafika, csak a sima =

szoveg.

Csak a "Hiba!" reszre neztem be, de ez is eleg volt.

1) Max. a 33.6-os csatlakozast engedik meg, azt is csak   =

   "kiserleti" jelleggel, de a gyorsitott Rockwell modemeket =

   nem ajanljak... (???) Megyunk Europaba! 28.8K-val!!

2) Operator email link: "mailto:operator kukac kibernet.hu"
   Betu szerint igy!! Kivacsisagbol megneztem, mit csinal, es
   a Netscape felhozott egy email-ablakot 3 szemelyre
   , , )
   Ezek szerint _BETU_SZERINT_, _fonetikusan_ irtak be a =

   mailto linket?!?!

3) Aszondja:
   " 1998. november 6. 19:20-10:52 - A KiberNet levelezo   =

   kiszolgaloja nem tudott levelet fogadni." Indok? =

   Elnezes?!? Karterites? (oh!)

4) "Hiba GyIK (Gyakaran Ismetlodo Kerdesek)" Na azert ne =

   legyunk mar ennyire magyarosak, hogy gyikot csinalunk a =

   fa'k-bol :)

5) Ez a legszebb:
  "K: Este 10 es 12 ora kozott gyakran talalom foglaltnak a =

      vonalat. =

   V: [..] Lehet, hogy mostanaban eppen tobb az eszkimo mint =

      a foka. Ilyen a jovoben is elofordulhat." =

   Ez a _hivatalos_ allaspontjuk?!?! Csak fizess elo, aztan
   vagy feljutsz a Netre, vagy nem?! Nevetseges...

6) "Izeljen" jeligere:
   =

    "Az elobukkano oldalon a k=F6vetkez=F5 beallitasokat tudja =

     eszkozolni."
     Ez ugye nem csak nekem hangzik rosszul? :)

Es ez csak 1 arva oldal volt!!
Konyorgom, itt tartunk???
Ez elkeserito! <:-<

Udv,
Adam(R)

ui.:Lang Attila, varok pozitiv tapasztalatokat! =
+ - szemelykereses (mind) VÁLASZ  Feladó: (cikkei)

Udv mindenkinek!

Segitseget szeretnek kerni - elsosorban olyan tippeloktol, akik az USA-ban elne
k vagy jartak ott. Sok-sok evvel ezelott Amerikaba tavozott egy nagyon kedves i
smerosom. Sajnos csak a tiz evvel ezelotti cimet tudom, de onnan mar elkoltozot
t.
Nagyon megkoszonnem, ha valaki tudna tippet adni, hova forduljak vagy kit - mit
 - keressek meg, aki segitseget tudna nyujtani az uj cim felkutatasaban.
A Voroskereszt nem jott be.

Koszi

Tamas
+ - [TELEKOCSI] Paris-Budapest most penteken (mind) VÁLASZ  Feladó: (cikkei)

Kedves Tippelok, 

Utitarsakat keresek NOV. 27-re pentekre PARIZSBOL 
Nemetorszagon at BUDAPESTRE. Harom hely van az autoban. 

Utvonal: Paris-Nancy-Nuernberg-Wien-Bp
Indulas: Paris, 1998.XI.27. reggel 6h , Cite U.
Erkezes: Budapest, aznap ejfel.

A tobbi ahogy korabban.

			Udv:
				V.Attila
Tel: +33 6 1217 2889
____

+ - Re: es megint Matav ( 23 sor ) (mind) VÁLASZ  Feladó: (cikkei)

>Felado :  [Hungary]
>Temakor: es megint Matav ( 23 sor )
>Bementem az ugyfelszolgalatukhoz és kertem egy reszletezest a mult havi

>hivasaimrol. Minden szo nelkul kinyomtattak es utana kedvesen kozoltek
velem
>, hogy a 300- Ft-ot a kovetkezo szamlammal fogom fizetni. Ez tortent
egy Kb
>2 Ft erteku papirra es minden joindulatomat ossze kell szednem , ha 10
Ft-ot
>szamolok a festekre es a nyomtato hasznalatra. Ha bemegyek egy
barmilyen
>boltba , ott veszek valamit es ezt szeretnem reszletezve latni,
kerhetek egy
>keszpenzfizetesei szamlat, azt kotelesek adni.(ingyen) Persze mindez a
>Matavra nem vonatkozik, a fogyasztonak csak kotelessegei vannak jogai
>nincsenek.

Lacikam !

Vegyel egy kicsit vissza magadbol !

1. A reszletes lista egy extra szolgaltatas a MATAV-tol. Ezert fizetni
kell.
Ennek ara a tajekoztatojukban szerepel. Ez a lista nem tevesztendo ossze
a
szamlaval.
2. Mas cegek - pl. PANNON is - kulon penzt kernek a reszletes listaert.
3. Egy szolgaltatas ara <> a kapott anyagok penzbeli ertekevel.
4. A MATAV minden honapban kulon keres nelkul reszletes szamlat kuld
neked.
5. A 300 Ft az netto osszeg. Amit te fizetsz az 300+AFA :)))

Tamas

--
A Káró Dáma és a Tref Bubi
Együtt mennek a Körúton
Akarnak egy fagyit enni
A Kôr Király elment buszon.
+ - Re: bunkofonos eset (mind) VÁLASZ  Feladó: (cikkei)

Szerintem filmforgatas volt. A multkoriban olvastam valamelyik
holywoodi internet cafeban, hogy magyarban forgatyak a legujab
J.Bond film egyes reszleteit. A cime: Nefe'jj, kefe'jj.
A nagybratyom is mondta, hogy hallott valamit. O ismeri az A.
Vajna szomszedjanak a bejaronojet.

Tamas

--
A Káró Dáma és a Tref Bubi
Együtt mennek a Körúton
Akarnak egy fagyit enni
A Kôr Király elment buszon.
+ - si ut januarban (mind) VÁLASZ  Feladó: (cikkei)

Meg 2-3 hely van  JAN 8.-ai siutunkra, 3 Volgy, Francia o.
busszal apartmanban. Ara 50+utazas
Akit erdekel hivja Petrás Editet: 202-3777/127
-- 
Udv,
 Csarli
+ - Gorkorcsolya-tankonyv (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok, Mar tobb, mint fel eve korcsolyazunk egysoros gorkorival, de
jobbara csak "fitness" stilusban (gurulunk fel-ala aMargitszigeten).
Szeretnek megtanulni par erdekesebb lepest, figurat, csak eddig nem
talaltam hozza sehol sem tankonyvet. Ha esetleg van valakinek elado, vagy
tudja, hol lehet ilyet kapni, kerem, irja meg! (Normal koris konyv is jo,
ha nincs mas...) Koszonettel,

        Maestro                   mailto:
+ Chaos, a cicamacska!
+ - 51-es kod... (mind) VÁLASZ  Feladó: (cikkei)

Tudjatok, az USAban is van Area51, ahol az allitolagos lezuhant ufokat
taroljak meg kiserleteznek veluk...:)

kacsalla
+ - R.E.M. koncert Becsben - radion (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok! 

A kovetkezo kerdesem lenne: rogzitette valaki barmilyen formaban
(szamitogepen/kazettan) az R.E.M. november 6-i becsi koncertjet, amit egy
AUSZTRIAI radio eloben sugarzott? Tudom, nemreg a Redio Bridge is leadta, de
nem a teljes koncertet. Nekem ugyanis megvan a teljes koncert felvetele, de
nem valami fenyes minosegben. Barmilyen segitseget szivesen vennek!

elore is koszonom!

kacsalla
+ - NeTuddKi Gabornak - sorozasrol (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok, szia Gabor !

Legegyszerubb dolog felhivni a teruletileg illetekes hadkieg.
parancsnoksagot, ott manapsag mar nem esznek embert. 15
evvel ezlott volt dolgom veluk, de akkor is megdobbentett a
furcsa modon normalis hozzaallasuk. Ez Sz.fehervaron volt az
igaz. Ott tajekoztatnak mindenrol. Szemelyesen ha felkeresed
oket, akkor erdemes nyiltan elmondani minden problemad, es
nem kodositeni, mivel ezek a tisztek nem szeretik azokat, akik
csak "ugy" ki akarnak bujni a katonasag alol.
Nem ismerem a torvenyeket, de az 1 gyerek + csaladfenntartoi
statusz elegendo indok.

Peter.
+ - Szikrazas (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok !

A kocsi elektrosztatikus feltoltodeset az autosboltokban kaphato
levezeto gumicsik felszerelesevel lehet megelozni. No nem arra
gondolok, mikor egy bizonyos etnikai csoport a Zsiguli hatuljat
telerakta ilyen gumicsikokkal...
Diszkreten el lehet helyezni a kocsi kozepere felszerelve, es nem
latszik. Allo helyzetben mindig a talajhoz er. Magas koromtartalmu
gumibol van, es jol (le) vezeti a nagzfeszt.

Peter.
+ - levelezesi lista (mind) VÁLASZ  Feladó: (cikkei)

Hello !

Letrehoztunk egy uj levelezesi listat. Temaja: termeszetgyogyaszat es
energiak.
Akit erdekel, az megtalalja a honlapjat :
www.dunaweb.hu/nagymaros  ezen belul pedig prana4all menupont.

Itt olvashattok bovebbet a temarol, talalhattok linkeket, fel- és
kiiratkozhattok a listaba(bol).

Sok szorakozast

Bye

willy
+ - netuddki (mind) VÁLASZ  Feladó: (cikkei)

Netuddki irta

_nagyon surgos_ segitseg kellene abban a kerdesben, hogy
milyen szabalyok vonatkoznak arra a besorozando fiatalra,
akinek egy gyermeke van es o a csaladfenntarto. Mind
sorkatonai szolgalat, mind polgari szolgalat szempontjabol
erdekelne a dolog.


Azt javaslom hivd fel az Alba ko:rt,ok ezzel kapcsolatban
tudnak neked segiteni.A telefonszamukat sajnos nem tudom,
de a tudokoz biztos elmondja.A polgari szolgalatrol en is 
tudok neked tanacsokkal szolgalni.Johet privatba.

Udvozlettel:Lali
+ - Re: bunkofonos eset (mind) VÁLASZ  Feladó: (cikkei)

NeTuddKi

>E-mail :  [Hungary]
>Temakor: bunkofonos eset ( 11 sor )
>
>(...)
>a bunkofont, majd kiveszi a kartyat is.
>A kocsibol kiszall egy egyenruhas fiatal rendor is, o pedig a srac
>igazolvanyait kezdi vizsgalni, es mindketten buzgon jegyzetelnek.
>(...)
>Szerintetek mi tortenhetett ?
>


Biztos valami rendo"ri akcio' volt. ;-)
He-he-he!

Azert az nem semmi, ha mar hangos telefonalasert is lecsukjak az
embert.

cs
+ - Parkinson betegseg mutetes gyogyitasa Magyarorszagon (mind) VÁLASZ  Feladó: (cikkei)

Kedves Tippelok,

Emailben kaptam a hirt arrol, hogy Magyarorszagon is elkezdtek a
Parkinson muteteket. Tudna valaki errol reszletesebben tajekoztatni,
cimet, email cimet, esetleg errol szolo ujsag cikk cimet, adatait 
bekuldeni?

Koszonom elore is,

Gaal Gyongyi
+ - Csucsforgalom (mind) VÁLASZ  Feladó: (cikkei)

Hali Tippelok!
Lenne egy kerdesem. 
Ki mondta azt, hogy "Csucsforgalom van a damaszkuszi uton"?

Udv: Adam

u.i. Maganba is kerem a valaszokat.
+ - Tukory ujra (mind) VÁLASZ  Feladó: (cikkei)

>Hetvegen gondoltuk, kiprobaljuk az agyondicsert Tukoryt (Hold u.).
>Sajnos azt az aprosagot senki nem emlitette, hogy szombaton es
>vasarnap zarva van!  :((((
pedig kellett volna.. én is ráfaragtam már.. sorry!
p

   |\_________________,_ )
   |     ==== _______)__)www.kiskapu.hu/users/ptibor/magics.htm
 __/___  ====_/
(O____)\\_(_/
+ - Re: Tukory ujra (mind) VÁLASZ  Feladó: (cikkei)

 wrote in message ...
>Hello!
>
>Hetvegen gondoltuk, kiprobaljuk az agyondicsert Tukoryt (Hold u.).
>Sajnos azt az aprosagot senki nem emlitette, hogy szombaton es
>vasarnap zarva van!  :((((
>
>Andrea
>

Hat ez szivas... en tegnap (hetfo) probaltam, es eleg jo volt. Banannal
toltott pulyka... :)
Itt jegyeznem meg hogy nagyot lepodtem amikor meglattam a legdragabb etel
arat. 499 Ft volt. :)
Amugy koszi az infot a hetvegerol.

Ja! A Csarnok vendeglo milyen?


              KeFe

    http://come.to/KeFe
+ - Re: bunkofonos eset (mind) VÁLASZ  Feladó: (cikkei)

infot kerek wrote in message ...
>Sziasztok! Elmeselek egy tortenetet, szinhely a budai var, november 17-e,
>reggel 9 korul Egy huszoneves srac bunkofonozik a Becsi kapu ter kozepe
>szelen, eleg hangosan. Egyszercsak egy feher Golf erkezik relativ
>gyorshajtassal, atmegy a behajtani tilossal jelzett szakaszon, majd a ter
>tulso oldalan leall, es visszatolat. A srac mellett megall, az anyos
>ulesrol kipattan egy kisportolt ferfi fekete borcuccban, elkeri a sractol
>a bunkofont, majd kiveszi a kartyat is.
>A kocsibol kiszall egy egyenruhas fiatal rendor is, o pedig a srac
>igazolvanyait kezdi vizsgalni, es mindketten buzgon jegyzetelnek.
>Nekem busz jott, igy elmentem.
>Szerintetek mi tortenhetett ?
>

Tanulsag:
Ha ellopod valami befolyasosabb ember phone-at, ne nagyon telefonalgass vele
mielott atirnad az imei szamat (plane ha meg raadasul az o kartyajat is
hasznalod) mert bemerik hogy melyik "cellaban" vagy es konnyen megszivhatod.
Hogy a sraccal mi tortent? Ahogy en a rendorseget ismerem, megmondtak neki
hogy most vagy bemegy veluk, kihallgatjak + ilyesmi (0.5 - 1 nap), vagy
tamogatja oket xxezer forinttal, es ok a jelentesben megirjak hogy
megtalaltak a telefont mondjuk a buszmegalloban a pad alatt. (vagy lenyuljak
ok a tecsit ha pl egy Nokia 8810 volt :)

              KeFe

    http://come.to/KeFe

              KeFe

    http://come.to/KeFe
+ - Re: toltelek a kovetkezo (mind) VÁLASZ  Feladó: (cikkei)

 wrote in message ...
>Sziasztok !
>
>>> Keressük a vilag legjobb fickojat... es nagyon varjuk
>>> jelentkezeset!(egoistak kimeljenek)
>>>
>>> Kovacs Eszter
>>> 
>>
>>Talan en vagyok talan nem... probaljuk ki ;-).
>
>tutira nem lehetsz, mert en vagyok :-)
>
>bes
>

Ti csak ne beszeljetek az en nevemben!
Termeszetesen en vagyok az!
Azt hiszem ezen kar is vitatkoznunk. :))

              KeFe

    http://come.to/KeFe
+ - SANYO deck fej (mind) VÁLASZ  Feladó: (cikkei)

Van egy tizen valahany eves SANYO deck-em. Sajna ugy nez ki, hogy a fej
egyre rosszabb, kopottabb benne.
Nagy naivan szetszedtem, hogy megnezzem milyen fej kell bele. Sajnos egy
betut sem talaltam rajta,
igy nem tudom, milyet keressek bele.

A deck tipusa: SANYO
Model: RD 4028UM

A kerdes: Valaki, esetleg tudja milyen fej kell bele, vagy meg tudja
mondani a SANYO szakszerviz cimet?
(Sajnos a telefonkonyvben nem talaltam.)

Ha esetleg megtudom milyen fej kell bele, hol erdemes probalkozni a
keresessel?

Szoval minden TIPP erdekel, ami megoldashoz vezethet!
(De ne ajanlgassatok, hogy vegyek ujat, nincs ra keret!)

Udv
ovitomi
+ - Re: bankkartya kerdes ismet (mind) VÁLASZ  Feladó: (cikkei)

>Sziasztok!

>Elozo kerdesemre csak egy valasz jott - jo bunko vagyok, meg meg >sem
koszontem, KOSZONOM - ugyhogy meg egyszer feltennem ez >ominozus kerdest: Az
Egyesult Allamokban lehet-e >fizetesre/keszpenzfelvetelre hasznalni a
Cirrus/Maestro ill. Visa >Electron kartyakat?????
>
>Udv:
>Varga Attila
Szia,

A Cirrus/Maestro kartyaval nagyszeruen tudsz kezpenzt felvenni az USA-ban
szinte barmelyik ATM-nel (En nem talaltam olyat, ahol nem tudtam volna), de
vasarolni nem nagyon tudsz vele. Ha magyarorszagrol van a Cirrus/Maestro
kartyad, akkor valoszinuleg levonnak egy bizonyos osszeget minden egyes
penzfelvetelnel (Nekem $3-t). Tehet erdemes egyszerre nagyobb osszeget
felvenni es nem tobbszor kis osszegeket.
A VISA Electron kartyaval szinte barhol tudsz vasarolni, ahol (mint a
neveben is benne van) elektronikus kornyezet van. Tehat ahol a kartyat be
kell tenned egy kis leolvasoba, majd pin kod (valahol az se kell) es
levonjak a penzt. Vasarlasnal altalaban nem vonnak le toled kezelesi
koltseget. Persze es sem igaz mindenhol, de akkor ki van irva, hogy levonnak
(En csak Las Vegas-ban lattam ilyet.) A VISA Electronnal is sok helyen lehet
penzt felvenni ATM-bol, de itt is levonnak mint a Cirrus/Maestro-nal.

Na Pa,

Zseni Attila
+ - Zenei kerdes --> dzsessz (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok!

Olyan zene (igazi zene) szereto emberek jelentkezeset varom, akik
ugy-ahogy ismerik a 30-40-50-es evek big band es swing korszakat.

Nem art, ha van valami alap zenei gyujtemeny is.

Jolet!

Tomi
+ - Re: szikrazas (mind) VÁLASZ  Feladó: (cikkei)

 írta a következő üzenetben: ...
> Szevasztok!
>
>Most, hogy az ido hidegebb lett es a levego nedvessegtartalma
>erosen lecsokkent, egyre surubben bosszant az elekrosztatikus
>feltoltodes, illetve a kisules. Ha kiszallok a kocsibol, ha levetem
>a kabatomat, ha felallok a szekrol...stb. mindeg szamolnom kell
>azzal, hogy valahol "szikrant hanyok". Persze tudom az okat, azert
>mert muanyag az uleshuzat, szigetelt a padlo, gyapju a pulover...
>
>Mast is idegesit ez a jelenseg? Ha igen, Ti mit tesztek ellene?
>
> Andras


Hi guys,

En mar mindig ugy szallok ki a kocsibol, hogy kiszallas kozben
 FOLYAMATOSAN fogom az ajto szelet.
Nekem bevalt.

Udv:

Joe
+ - Re: szikrazas (TIPP.3029..981123) (mind) VÁLASZ  Feladó: (cikkei)

Szervusz Andras, Sziasztok!

> Most, hogy az ido hidegebb lett es a levego nedvessegtartalma
> erosen lecsokkent, egyre surubben bosszant az elekrosztatikus
> feltoltodes, illetve a kisules. Ha kiszallok a kocsibol, ha levetem
> a kabatomat, ha felallok a szekrol...stb. mindeg szamolnom kell
> azzal, hogy valahol "szikrant hanyok". Persze tudom az okat, azert
> mert muanyag az uleshuzat, szigetelt a padlo, gyapju a pulover...
>
> Mast is idegesit ez a jelenseg? Ha igen, Ti mit tesztek ellene?

Biztos, hogy az idojaras es a paratartalom miatt? Nyilvan ez is
hat ra(nk) - ha mashogy nem kozvetve, az oltozkodes altal.
Szerintem leginkabb cipotalpfuggo a feltoltodes merteke.
A tobbi kornyezeti valtozot - mint a linoleum burkolatot, az egyeni
fizikai/pszichikai allapotot, a liftet hasonlo szempontbol, stb. -
tekintsuk allandonak. (Tekinthetjuk? De mondom: a cipo a lenyeg!)

Azt tapasztaltam, hogy egy bizonyos cipoben rendszeresen sikerul
"kisulnom" a cegbeli erintokapcsolos liftunkon. Olyankor nagyon
elozekeny vagyok, mindig eloreengedem az "utitarsakat"...
Ha magamra vagyok utalva, altalaban kulccsal (de jo barmi femmel
is, ami a kezunk ugyebe akad) kozelitek a lift gombjaihoz, akkor
nem erzem a szikrat kozvetlenul. Igy egyedul legalabb nem neznek
komplett hulyenek, hogy mit akarok azzal a "slusszkulccsal"...

Amugy nem jo modszer?

Bata Gábor
mailto:, 
(ékezet is érkezhet)
+ - NOTE 11/24/98 07:16:21 (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok!

     Timi vagyok Oroszlanybol, es azert irok, hogy ha esetleg valaki tudna
     nekem segiteni abban, hogy hogyan lehet kimenni kulfoldre lakni,
     dolgozni, stb. akkor szivesen vennem, ha irna. Minden reszlet erdekel,
     hogy hogyan, mikor, kivel, miert? Oke? Szoval, ha valami tippetek,
     varom a leveleket!

     Koszi elore is: Timi
+ - Kibernet (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok,
ha mar a Kibernet igy tema lett, en is hadd szolok bele. 

En kb masfel eve kerestem magamnak szolgaltatot, az en elkepzelt (es azota
nagyjabol beigazolodott) igenyeimnek arban egyertelmuen a Kibernet felelt
meg a legjobban. Tenyleg telefonon, kb 5 perc alatt megegyeztunk es
megszuletett az accountom, ez azota is el. 

Rendszeresen kerdezem le a kibernetes kapcsolat alatt az egyeb szervereken
levo leveleket (a munkahelyi cimemet, illetve a csaladtagok freemail-es
cimeit), ezzel sose volt problema. Az viszont nekem se tetszett, hogy ha a
freemail-es cimrol kuldtunk levelet, mindig atirta a kibernetes cimre a
feladot. Vegul sikerult megegyeznunk abban, hogy megadtam harom olyan
cimet, amit ervenyesnek fogadnak el, igaz, hogy ezert fizetek valamennyit,
de szerintem ez meg belefer.

Este 10 utan valoban nehez feljelentkezni, volt olyan, hogy 15 perc utan
feladtam, de altalaban a 3.-4. kiserletre azert sikerul. Mas idopontban
gyakorlatilag mindig elsore sikerul. (Elofordul idonkent, hogy megszakad a
vonal, de mivel mindig elfelejtem kikapcsolni a telefonon a
hivasvarakoztatast, valoszinunek tartom, hogy a megszakadas oka abban
rejlik, hogy hivnak kozben.) 

Szoval en elvagyok veluk, eddig meg eszembe sem jutott, hogy valtsak. 

Anna
+ - Re:Elender vs. Kibernet (mind) VÁLASZ  Feladó: (cikkei)

Norbert irta:

>Gratulalok, ez aztan az okos megoldas. Probaltam megertetni veluk, hogy
>ez elmebetegseg, es ha spam levelet akarok irni, barmelyik olyan SMTP
>szerverrel megtehetem, amelyik nem tiltja a relay-ezest.

Minden szolgaltato tiltja az SMTP-zest akkor, ha mas szolgaltatohoz
tarcsaztal be. Szoval emiatt kar volt otthagynod a Kibernetet, mert ez
mashol is igy lesz. Miert nem hasznaltad a kibernetes SMTP-t a masik
POP3-mal kombinalva? 

Viktor
+ - Re: *** HIX TIPP *** #3022 (mind) VÁLASZ  Feladó: (cikkei)

Aschenbrenner Judit > 1998.11.17. 11:12:04 +1h-kor irta:

>  
> > Sziasztok,
> > 
> > Tegnap jartam egy ujonnan nyilt bevasarlokozpontban,
> > ahol a foldszinten van egy allatkereskedes. Itt
> > nehany kalitkaba annyi papagajt zsufoltak be, hogy
> > szerencsetlenek le sem tudtak szallni, a kalitka
> > oldalaba kapaszkodtak. Mit lehet tenni (azon kivul,
> > hogy szolok a tulajnak, aki jo esetben elkuld a
> > busba)? Van valami eloiras ezzel kapcsolatban?
> > Allatvedelmi torveny? Vagy barmi megengedheto?
> > 
> > Egyebkent Budapesten van, es olyan viragos nevu
> > kert.
> > 
> > BGabor
> > 
> Sziasztok!
> 
> Hasonló tapasztalataimat szeretném megosztani veletek. Csepelen van egy 
> állatkereskedés a Szent Imre tér környékén. Néha szoktam arra járni és ilyenk
or 
> mindig megnézem a papagájokat a kirakatban (ők már gondolatban az 
enyémek).
> Eddig nem is voltak olyan vészesen összezsúfolva, de legutóbb rettenetesen 
> felháborodtam, mivel a papagájok kb. fele a rácson lógott, de valószínűleg an
nak 
> sem volt jobb, aki rúdom ült, ugyanis közvetlenül egymás alatt voltak a rudak
 és 
> ezzel max. a legfelsők jártak jól (lásd. a természet hívó szava). Legszíveseb
ben 
> hasonló módon járnék el a tulajjal, de biztos van valami törvényes megoldás i
s!
> Szerintetek olyan nehéz lenne még egy kalitkát kitenni a madaraknak? 
> Szóval ha vkinek van valami ötlete, én is várom!
> 
> Üdv:
> Bogi 
> --
> ¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤
> ( :                 : )
> ¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤

--
¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤
( :                 : )
¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤
+ - Keresem Bokor Petert (mind) VÁLASZ  Feladó: (cikkei)

Kedves Peter!

Kenytelen vagyok a tippen keresztul irni neked, mert a cimed nem volt a feladon
al, 
csak a neved, es egy vizszintes vonal. Pontosan mire vagy kivancsi a bringaval 
kapcsolatban? Valaszodat varom a  
¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤
( :                 : )   cimre,
¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤¤
es legy szives a cimedet is ird bele a levelbe.

Udv:
Bogi
+ - 1999 = 1998 - 100 Ft? (mind) VÁLASZ  Feladó: (cikkei)

Most hallottam a Repetaban: az Internetto informacioi szerint jovore
megszunik az ejszakai szazforintos kedvezmeny, de lesz helyette valami mas.
Meg nem tudni, micsoda, a Matav targyal a kormannyal. Az Internetto cikket
is kozolt Straub arckepevel (tobben eltettek a Repeta szerint, hatha jo
lesz valamire...) -- meg nem olvastam, igyekszem megszerezni.
  No, folhivtam a ceget, az internetes ugyfelszolgalatot. Azt mondta, ok
lennenek az elsok, akik tudomast szereznek a kedvezmeny megszuneserol, de
nincs ilyen hiruk.
  Most legy okos, Domokos...
+ - bioptron (mind) VÁLASZ  Feladó: (cikkei)

sziasztok,

mennyire szemfenyvesztes a subj. beli lampa ?

az ara alapjan ez a legtutibb dolgok egyike lehet.

bes.
+ - segitseg? kell neki (mind) VÁLASZ  Feladó: (cikkei)

> Felado :  [Hungary]
> Temakor: Kora szakbolt Szlovakiaban.. ( 17 sor )
> Idopont: Mon Nov 23 05:44:11 EST 1998 TIPP #3029
> - - - - - - - - - - - - - - - - - - - - - - - - - - - -

> Szervusztok !

> A kovetkezoben kernem a Szlovakiai olvasok segitseget;
> nehany honapja vasaroltam Budapesten egy Kora tipusu 
> flobertpisztolyt.
> Sajnos, a mult heten eltort benne az utoszeg (az a kis bizbasz 
> alkatresz, ami meguti a patront), es nem lehet sehol ujat venni.

> Legyszi, ha valaki tudja, hogy hol van Szlovakiaban 'Kora' szakbolt, 
> illetve, hogy hol arulnak ilyen alaktreszt, legyen szives, es irja 
> meg nekem !


Iratkozz fel a fegyverlistara! 
+ - Mercedes W110 (mind) VÁLASZ  Feladó: (cikkei)

> Sziasztok
> 
> Segitseget szeretnek kerni
> 
> Talan ismeritek a W110-es (Fintail) Mercedes tipust: kb. 1962-1969-ig
> gyártották,
> elol egy-egy kerek lampaja van (nem allolampas, meg az elotti), 
> hatul pedig fecskefarka. 
> Szoval, ha lenne valaki, akinek van/volt ilyen tipusa, esetleg
> ismerosenek, csaladtagjanak volt, es vannak tapasztalatai rola
> (mennyire
> draga a fenntartasa, javitasa, van-e es mennyiert alkatresz hozza,
> szabvanyosak-e  az egyes alkatreszei, pl. jo-e hozza egy mai akku,
> lampaizzo, gumiabroncs, stb) kerem, irjon rola!
> Ha valaki tud jó veteranautos internetcimeket, azokat se hallgassa el.
> 
> Koszonom
> 
> Akos (ElPocoLoco)
> 
> 
> 
>
+ - gravirozas (mind) VÁLASZ  Feladó: (cikkei)

Mostanaban kisse megnehezult a levelezesem, igy most koszonom meg 
mindenkinek a gravirozasra kapott hasznos TIPP-jeit.
Egyedul a Sarga oldalak "Gravirozas" cimmel nem mentem semmire, mert 
ahany szamot hivtam, mindig mas helyre kuldtek:)
De a konkret helyek valoban mukodnek.
Megegyszer koszonet:

Bela
+ - proba internet (mind) VÁLASZ  Feladó: (cikkei)

Ugy hallottam, letezik nehany olyan szolgaltato, aki 1-2 hetes 
ingyenes internethozzaferest biztosit probakepp.
Az Altavizslan hiaba (vagy rosszul) kerestem, tudna valaki ilyen 
cimet?
Kosz:


Bela
+ - Re: soapshoes (mind) VÁLASZ  Feladó: (cikkei)

>Felado :  [Hungary]
>> Felejtsd el a gordeszkat, inline korit, a legujabb trend a szappancipo
>> (soapshoe). Ez egy a szokasoshoz hasonlo bemelegito cipo, de a talp
>> boltozataban egy masfajta, rendkivul csuszos muanyagbol keszult betet
>most ez komoly vagy csak ugratsz bennunket?
Komolyan irta, en is olvastam rola (meg a baleseti statisztikajat is :-(.

A'kos
+ - Re: Dollar --> Forint (mind) VÁLASZ  Feladó: (cikkei)

>Felado :  [United States]
>Hol erdemes kb 4 - 5 ezer dollart bevaltani forintra dec 18 an pentek
reggel Bu
>dapesten.
A keletinel van egy penzvalto, ill. az astorianal, tapasztalatom szerint
ott a legkedvezobb az arfolyam.

>Mintha valaki azt irta volna hogy alacsonyabb a kezelesi koltseg ha az
ember a
>penzkiado automatabol vesz ki penzt de mennyi idot vesz igenybe az?
Masrol beszelsz.
Magyarorszagon nincs kezelesi koltseg a penzvaltasra (az arfolyamokkal
tudnak max. manipulalni), amire van, az a kartyara penzfelvetel bankbol
ill. automatabol (viszont, ha ugy akarsz penzt valtani, hogy kartyaval
fizetsz arra van kezelesi ktsg. a valtoknal is).

A'kos
+ - telefoncsengo es Re: Keparchivumokat keresek (mind) VÁLASZ  Feladó: (cikkei)

Hello Tippelok!

1. A konyhaban nem halljuk, ha cseng a telefon. Ki tudja, hogyan lehet telefonv
onalra 
masodik csengot kotni, milyen csengo kell?


2.  irta, ez nem lesz jó?

"Christmas Card v2.0 - add your own message and send to friends as
either a Christmas or birthday card.  (386Kb)

TO: 
BODY:
open ftp.ou.edu
cd /pub/simtelnet/msdos/mmedia
get ccard20.zip
quit

Udv Istvan
+ - HUTO + Mark ugyei (mind) VÁLASZ  Feladó: (cikkei)

Udv,

>>Nyugati prospektusokban lattunk olyan hutogepet, aminek un.
 >>"pincerekesze" van. Ez egy olyan also fiok, ahol allandoan 12 fok
>>koruli a homerseklet. Tipikusan helyszukeben levo, lakotelepi
>>lakasokba jo, mert itt ta'rolhato zoldseg es egyeb dolgok, amik nem
>>viselik el a tulzott meleget, sem a huto hideget.
>>....
>(Az emil cimedbol itelve a fovarosba valo vagy. Eleg befaradnod egy
>mintaboltba, vagy egy nagyobb aruhazba. Talalsz boven. Megsugom, hogy
>nekunk a 20 eves hutonkben is van ilyen. Ketto. Persze, aki
nyugatimado...)

Bocs, de ha ez az utobbi sor nem trefa volt (ui. bizton nem lattam kirakva
a trefa-jelzot), ugy igazgatnek:

_Tudomasom_szerint_ a "kivant" rekesz tenyleg uj dolog a
hutoszekreny-technikaban, es ami a 20 eves hutoben van, az csak egy
"egyszeru" rekesz, azaz tobbe-kevesbe ugyanolyan hely, mint a tobbi a
hutoben [kb 4-6 fok?], mig  ez a rekesz eltero homersekletu. (lsd fent)

Mark:

>>Szeretnek olcson vasarolni a kovetkezokbol:
>>-Discman  -Walkman  -HiFi-torony  -Cd -Szamitogep  stb.
>>Minden megoldas erdekel. Foleg uj kellene, de nem kotelezo a garancia
>>(remelem ertitek mit akarok ezzel mondani)
>>Ha tudjatok hol lehet ilyeneket szerezni kerlek irjatok meg.
>>Koszonom:   Mark
>
>(Gondolom a marka, tipus, stb. teljesen erdektelen szamodra. Esetleg az
>sem baj, ha lopott az aru ? Lakas, auto, ingatlan, ertekpapir nem erdekel?
>Boltba pedig elvbol nem mesz be ?)

No, Mark - a velhetoen tizeneves - jol megkapta, es ezt_ki_is_erdemelte.
(IMHO)

>>Szeretnek az USAban tanulni es egy nemzetkozi erettsegit tenni es
>>talan egyetemre is kint jarni.
>>Tudja-e valaki, hogy milyen lehetosegeim vannak?
>>Ha nem muszaly, akkor nem szeretnek (tul sokat) fizetni egy ilyen
>>kirandulasert.
>>Ha valaki tud valamilyen palyazatrol, alapitvanyrol vagy barmilyen
>>egyeb lehetosegrol, akkor kerem, hogy segitsen.
>>Elore is koszonom:   Mark
>(Jelige: bena es nyomorek vagyok, egyedul egy lepest sem tudok tenni,
>mindig azt varom, hogy valaki megmondja, hogy mit tegyek, ahelyett,
>hogy megkeresnek egy illetekest. Mereven amerikanizalodni akarok, es
>meg azzal sem vagyok tisztaban, hogy ott nincs is erettsegi. Csak ki
>akarok menni egy kis idore, es visszajovetelemkor garantalom mindenkinek,
>hogy mar par het utan "en kepes lenni torni magyar".)

De itt azert tul szigoru vagy, kedves
alanemiro_biztostudassal_rendelkezo_senkinetudjaki.
Ez a kerdes szerintem boven belefer a Tipp eddigi vonulataba.

Udvozol:

Rogacs Tibor
+ - A fantasztikus Leonida-hullas megfigyelesenek "igaz tor (mind) VÁLASZ  Feladó: (cikkei)

A fantasztikus Leonida-hullas megfigyelesenek "igaz tortenete"
                        (szubjektiv elmenybeszamolo)


November 16-an kedden delutantajt rutinszeruen korbetekintettem a halozaton,
elsosorban az europai idojarasi muholdkepekre es animaciokra koncentralva.
(Amelybol elorejelzesi kiserleteket tettunk a maximum vart idejere, a 18-an
hajnali idojarasra.) Persze beneztem az altalunk osszeallitott - az MCSE
folaprol (http://www.mcse.hu) is konnyeden elerheto - Leonida-oldalra es
'leo98' levelolistara is. Ahol - nicsak! - Tuboly Vince friss hozzaszolasara
akadtam, amelyben felhivta a figyelmet egy aktualis kaliforniai megfigyelesi
beszamolora. Par kattintas - es majdnem hanyatt estem a szekrol! A beszamolo
szerint George Zay 7 es fel oras eszlelese alatt 140 meteorbol 77 rajtagot
latott, es - most jon a lenyeg! - a Jupiternel fenyesebb felvillanasok szama
az osszes meteor harmada!!! A legfenyesebb tuzgomb a telehold fenyevel
vetekedett, de jopar elerte a felhold fenyesseget is! Mindez tehat nagyjabol
fel nappal az olvasas pillanata elott.

Nem kellett sok gondolkodas: A SORONKOVETKEZO EJSZAKAT NEM LEHET KIHAGYNI!!!
Szerencsere eppen teljesen kitisztult az eg, megragadtam hat a telefont, es
akit csak sikerult, elkezdtem riasztani. Legalabb 10-15 alkalommal mondtam el
ugyanazt a dumat, a kaliforniai eszlelest es az invitalast - osszesen vagy
7000 Ft-nyi mobil szamlat hoztam ossze (ami mintegy 2 oranyi csucsidos
csevej)...  Nagyon erdekes volt az emberkek valtozatos reakcioja. Harman
szinte azonnal azt mondtak: "Ha torik, ha szakad, jovunk!" A tobbiek ugyan
lelkesedtek, ha masnapi "halaszthatatlan", "lemondhatatlan" elfoglaltsagaikra
hivatkozva elharitottak a szabadteri elmenyt. "Majd legfeljebb a kovetkezo
ejszaka, ugyis akkor lesz a maximum..." (He-he-he - igy utolag!) Nehanyan
megprobaltak megigertetni velem, hogy "azert hivj majd fel, ha hullik majd
valami". (Elore szaladva: mas bajom nem is volt, kint az ejszakaban...)

Miutan minden megszervezodott, ledoltem 1-2 oracskara szunyalni (gondosan
kihuzva, lekapcsolva minden telefont :-), de csak rovid liderces alomra futotta
,
persze azert ez is nagyon kellett. Ejfel utan ebredtem, amikor epp megerkezett
Krububu (Kereszturi Akos) vonattal, illetve Lantosbubu (Lantos Zsolt) es Fodor
Tomi baratnojevel, (Szabados) Evaval, gepesitve. Uvoltve tolmacsoltak a
fantasztikus hullas hiret - valoban, eleg volt egy-ket pillanatra kiallni a
kertbe a fagyos ejszakaba, hogy meteort lasson az emberfia, nem is
akarmilyeneket! Alig gyoztem oket csititgatni, ugyanis igencsak
Visszhangzottak a szomszedok hazfalai! :-)

Gyors keszulodes utan kiertuk a bejaratott gerecsei megfigyeloplaccunkra,
a Tardosi fennsikra - Tardosbanya es Vertestolna koze. Kint a terepen fagyos,
olykor egeszen eros eszaknyugati szel vart bennunket, de legalabb turhetoen
tiszta idovel! A kipakolas alatt sulyosan atfagytunk, amiben az is benne volt,
hogy a hihetetlen latvanytol tatva maradt szajjal bamultuk a tuzijatekot!...
A 4 emberke hamar halozsakba kerult a kocsik szelarnyekaba fekudve, nekem,
mint a csapat "orokos" irnokanak viszont komoly fejtorest okozott tobb
problema. Egyreszt hogy ne faggyak kemenyre ebben az idojarasban, mikozben
jegyzetelek, az orat nezem, vilagitok, a magnot kezelem stb. Masreszt, hogyan,
milyen technikaval rogzitsuk a megfigyeles eredmenyeit, ugy, hogy hasznalhato
informaciok suljenek ki belole.

Jo fel ora kellett, mig az - utolag mondhatjuk, egyetlen lehetseges es helyes -
"technologia" kialakult. En mint irnok, beultem a kocsiba kenyelmesen, es a
szelirannyal ellentetes oldalon levo ablakot lehuzva fogadtam az eszlelok
adatait. Elottem a kesztyutarton az ora, olemben a magno. A zseblampa kulon
targyalast erdemel. Talaltam a potalkatreszek kozott egy 5 W-os
csomagtartoizzot, ezt ramaszekoltam ket drotra, es az egeszet beleeroltettem
egy kibelezett zseblampatokba. Amellett, hogy a fenyet ez a "szereles"
jelentosen, eppen a kivant mertekre tompitotta, a tok nagyon kellemesen
atmelegedett, mint egy zsebkalyha - szorongatasa jelentosen javitotta
kozerzetemet a fagyosabb pillanatokban!... :-)

A negy (kesobb harom, majd a legvegen ketto :-) eszlelo PERCENKENT szamolta,
gyujtotte a latott Leonidak darabszam-adatat, megpedig fenyessegcsoportonkent
(magnitudonkent). A percek leteltet hangos kongatassal, sipolassal,
nyekergessel, kakukkolassal jeleztem, amikoris az emberkek szep sorban
bemondtak, hany darab milyenes meteort pillantottak meg. Miutan belejottunk,
gordulekennye valt a dolog, ami nagyon helyes, mert a leonidak igen nagyon
hullottak! Olyan perc egyaltalan nem volt, amikor senki egyetlen rajtagot sem
latott - ellenben sokszor 6-8-10, de 12-13 meteort is szamlalt egyik-masikunk.
Ilyen tempo mellett komoly szellemi teljesitmeny a latott fenyessegeloszlas
megjegyzese, visszamondasa. (Hibazas is akadt biztos, de statisztikai
szempontbol nem lesz jelentosege.) Nagyon meglepo volt, hogy a meteorok
tobbsege fenyes, sot rettenetesen fenyes egy atlagos ejszaka megfigyelheto
nehany meteorhoz viszonyitva. Leonidakon kivul alig lattunk mas rajtagot -
igy emlekezetbol 10-nel kevesebb esetben szoltak az eszlelok, hogy "spora".

(folyt. kov.)
                                                                (Tepi)
+ - nemet marka (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok
Talaltam otthon nehany nyugatnemet markat. Sajnos ugy latom, hogy ezek a
legutobbi penzcsere elottiek. Ugy tudom ezeket a nemet bankokban siman
bevaltjak...

Van valami javaslata valakinek, hogy mit lehetne tenni ezekkel, anelkul,
hogy Nemetorszagba mennek? :)

Udvozlettel
Balint Gabor
+ - Re: Felhaborito - BME ! (mind) VÁLASZ  Feladó: (cikkei)

 írta a következő üzenetben: ...

----Eredeti üzenet----
Feladó:  >
Hírcsoportok: hun.lists.hix.tipp
Dátum: 1998. november 23. 12:34
Tárgy: Felhaborito - BME !

>Sziasztok!
>
>Megosztom veletek felhaborodasom okat, hatha van valaki fejes a vonalban a
>budapesti muszaki egyetemrol, aki tud valamit tenni!
>
>Bocsha, ha a hangvetel kicsit eros volt, de nem birtam ki, hogy ne tudja
>meg orszag-vilag mi tortenik a BME-n!
>

En ket eve adtam be a diploma munkamat és meg mindig nem biraltak el !!!!
Meg a leveleimre, telefonjaimra se reagálnak, pedig a levelezotagozaton szep
kis zsozsot kellett fizetni.
Egy kezigranattal nem is de talán jogi uton lehetne valamit tenni ez ellen,
bar nem tudom ennek a procedurajat.
Bar ha sokat ugralok, vedesnel lehet hogy alamtesznek.

Istvan
+ - Ho (mind) VÁLASZ  Feladó: (cikkei)

Hi!

>>>
Azt szeretnem kerdezni, hogy pl. ha a hagyomanyos 20W-ot fogyaszto
izzonal a 20W-os halogen izzo fenyesebb, akkor miert termel tobb hot?
Hol van itt az energia-megmaradas?
<<<
Nem termel tobb hot, csak MELEGEBB a halogen. A homennyiseg
es a homerseklet ket kulon dolog!

Chris
+ - KiberNet (mind) VÁLASZ  Feladó: (cikkei)

Hi!

>>>
Kibernet: csak telefonalni kell, par perc alatt megcsinaljak, DE:
ilyet kerdeztek: "Honnan fogja hivni a Kibernet szamat?"
- Teeeessseeeeek? - kerdeztem - Miert kell a szamom?
- "Azert, mert esetleg ellenorizni fogjuk..." igy a Kibernet
<<<
Jo vicc... Majd azt hiszed csak ugy 'bemondasra' csinalnak
neked korlatlan accountot aztan meg kuldozgetik a szamlat
vmi fals cimre. Vmi 'alap' nekik is kell hogy nem egy
'fantomszemely'-nek csinaltak accountot.

>>>
Apropo e-mail: Megvan az account. Fellepek, es nezem a leveleim. Azaz
neznem. Mashol van POP3-as accountom, valahogy sehogy sem jon ossze a
kapcsolat a szerverrel.
Hivom oket, hogy mi a banat van... Erre ok:
- "Ja, hat az nem fog menni. Hasznaljam a kibnernetes email-cimet ami az
account-tal jar"
- Miert hasznalnam, nekem jo az ami evek ota van mashol! En nem akarok
masik cimet!
- "Azt akkor sem lehet, mert igy kuzdunk a spam ellen!"
- Kosz. Szoval akkor nincs megoldas?
- "De igen, adjam meg azt a 'masik' mail-cimem, es a Kibernet szerver
oda-vissza fogja kuldozgetni a ket cim kozott a leveleket, en csak a
kibernetessel kommunikalok."
<<<
Micsoda??? A 110es (POP3 default) porton keresztul csak a mail.kibernet.hu
szervert ered el?? Ebben _erosen_ ketelkedem.
Szerintem az 'SMTP porton', tehat levelkuldeskor nem tudtad hasznalni a
masik
szervert (pl mail.elender.hu). Az meg mindenhol 'elfogadott' es 99%ban a
szerverek azt csinaljak hogy megnezi a te IPdet es ha egy tartomanyon
kivul esik (pl Kibernettol akarok mail.elender.hu-val feladni egy levelet)
akkor nem kuldi el a levelet. Persze az tulzas amit a KiberNet csinal,
hogy ha KiberNet tartopmanyban vagy, es fel akarsz adni egy
levelet mail.kibernet.huval akkor ezt is CSAK UGY engedi
ha a level FROM mezejeben kibernetes cim van...
Ez volt a gond?

Chris
+ - Re:Cim kerdes (mind) VÁLASZ  Feladó: (cikkei)

Kedves Szabo Soma!
Ha mar ZSENIVAGY (bar tudtom szerint ez a bolcseszkarokon a default 
ertek), akkor tudhatnad, hogy Iparugyi Miniszterium utoljara talan a 
40-es evekben volt Magyarorszagon (talan kerdezd meg egy 
tortenesztol, en csak saccoltam). Nem felsorolva az elmult evtizedek 
Nehezipari, Koho- es Gepipari stb miniszteriumait, csak a legulso 
hasonlo hangzasut ideznem: Ipari Miniszteriumbol ket lepesben valt 
Ipari, Kereskedelmi es Idegenforgalmi Miniszteriumma, ami alig fel 
eve szunt meg. Most csak Gazdasagi Miniszterium letezik.

Ja, az e-mail cimet azt nem tudom.

Udvozlettel
Gacs Ivan, akinek csak a nagyanyja volt Zseni.
+ - Re: Norbi allast keres (mind) VÁLASZ  Feladó: (cikkei)

>programozgatok is (Delphi 3), valamint a WEB-szerkesztes
>sem akadaly. Mindehhez tarsul  nemi hardware-ismeret is.
>Bovebben maganban.

No, de pajzan vagy!

Gacs Ivan
+ - Re: Felhaborito - BME ! (mind) VÁLASZ  Feladó: (cikkei)

Monika irja:
>Megosztom veletek felhaborodasom okat, hatha van valaki fejes a 
>vonalban a budapesti muszaki egyetemrol, aki tud valamit tenni!

>Iden, junius 15-en annak rendje es modja szerint leallamvizsgaztam a 
>..........
>oktoberben meglesznek a potallamvizsgak, akkor majd kozos 
>diplomaoszto
>lesz oktober vegen. Na most november vege kozeledik es meg mindig 
>semmi.
Nem vagyok fejes (persze fejetlen sem), de meg kell vedenem a BME 
Gepeszmernoki Karat, ahol minden rendben lezajlott, mint mindig. Ez 
nyilvan egy masik Kar lehetett (sejtem melyik, de nem rontom a 
hitelet). Utolag konnyu okosnak lenni, igy otletem is van:
ha mar az "npp" cimre mentel dolgozni, talan az energetikai kepzest 
kellett volna valasztani. Igaz a gepeszmernoki cim nem olyan 
hangzatos.

Gacs Ivan
+ - Szikrazas! (mind) VÁLASZ  Feladó: (cikkei)

Az orvosnal voltam, kb 10 eve, ahol  a muter arra lett figyelmes,
hogy valami kattogast(pattogast) hall ( csak 4-5-en voltunk ott).
Kiderult, hogy en azzal jatszok , hogy a radiatorhoz kozelitve szikrat dob
az ujjam.

A masik az 2 eve sielesen volt amikor mar a napi csuszkalas utan,
mar nem mertem semmihez sem hozzaerni.
Az mar turheto volt hogy az ajto fogantyuja, asztal, radiator az raz.

DE amikor az ember nyugodtan odament a lifthez,
es meg szerette volna nyomni az a vacak gombot,
akkor egy akkora utest kapott hogy neha belezsibbadt a keze.

Ez engem kib...ottul idegesitett.

A masik meg amikor a baratnomet kisertem a buszra,
 elvalaskor a joizu kis puszi helyett egy jo kis lokest kaputt az ember
orra-szaja!

Hat ez sem kellemes!
Van valakinek OTLETE hogy lehet ezt kivedeni?

Talan jarjunk mezitlab es ruha nelkul?

A tovabbra is szikrazo
ROBERT GIDA
+ - Re:Keressuk a vilag legjobb fickojat (mind) VÁLASZ  Feladó: (cikkei)

>Sziasztok !
>>> Keressuk a vilag legjobb fickojat... es nagyon varjuk
>>> jelentkezeset!(egoistak kimeljenek)
>>> Kovacs Eszter
>>> 
>>Talan en vagyok talan nem... probaljuk ki ;-).
>tutira nem lehetsz, mert en vagyok :-)
>bes


Egyiktek sem mivel az Esztike csak a ,,fickos" gyerekeket szereti!

Robert Gida
+ - Re-agalas (mind) VÁLASZ  Feladó: (cikkei)

Hello TiPP!

>Ne haragudj, de szemernyivel se lettem ettol okosabb... hogyan fugg
>ez ossze a felszolito moddal? Ha kifejtened a lista kozonsegenek,
>hogy mi a kulonbseg a fonevbol kepzett izlik, szaglik, buzlik es a
>nem fonevbol kepzett mallik, omlik, sejlik kozott ragozasi
>szempontbol, tisztabb lehetne a kep.

Nem az a lenyeg, hogy mibol kepezzuk, hanem az, hogy
pont az izlik szo mast jelent, mint aminek hasznaljuk.
Vagy eppenseggel forditva! Mashogy ertjuk, mint amit
valojaban jelent.
Megprobalom elmagyarazni.
Ha eszek valamit, aminek rossz ize van, akkor az is IZLIK a
szamban, azaz izell, izellik. De az a falat is ugyanigy "cselekszik",
ami rossz izu, tehat IZLIK. De az viszont "izlik" nekem.
Ugyanezt nehezebb elkepzelni a szaglik igevel, mivel
az csak egyjelentesu szo, mig az izlik AZONOS ALAKU!!!
Tehat, ha azt akarom, hogy mindenkinek FINOM legyen
az e'tel, akkor azt is mondhatnam, hogy "Szeretnem, ha
IZLIKNE." Ehelyett azt szokas mondani, hogy
" Szeretnem, ha IZLENE!" Felszolito modban viszont
(ebben a jelentesben!) : IZLIKJEN, ami a magyar nyelv szerint
helytelen, nem is hasznaljuk.
Remelem sikerult valamit elinditanom!
Zsoltik@
+ - OKTATAS! (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok Tipp-esek!

   !!!MEG NEM KESO FIATALOK!!!

A noverem szivesen tanitana kemiat, biologiat.
Akik ugy erzik, hogy buknak, vagy azt akarjak,
hogy felvegyek oket a SOTE-ra, akkor
                      NE HABOZZANAK!!!
Altalanos iskolasok es kozepiskolasok szamara.
(Aka'r esti tagozatosokat is felke'szit, vagy korrepetal!)

Ar megegyezes szerint!
Jelentkezni lehet : levelben a .
^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^
+ - Re: Tulfeszultseg (mind) VÁLASZ  Feladó: (cikkei)

Az ELMU Rt. azt a szolgaltatast nyujtja, hogy
megfeleloen biztositja a szabvanyos feszultseg-
ertekeket, meg a frekvenciat. A mostani szab-
vanyos 230V helyett altalaban 225V merheto.
Ha egyertelmuen kimutathato a kar, akkor
elvileg az orruk ala lehet dugni a kisult cuccot.
(Kivancsi lennek a kifogasra!)
Zsoltik@
+ - Re: Re: Terero: (mind) VÁLASZ  Feladó: (cikkei)

>"  a gravitacios ero elleneben hat. "

Te bocsi. Ha emelek egy testet, akkor a gravitacio elleneben
hatok. Ha egy magneses terero emel fel egy testet, akkor is
a gravitacios ero (azaz a suly) ellen hat.

> Feltalatal valamit ?
A neve: magnes. A baj csak az, hogy nem en talaltam fel...

>Ne irj butasagot.
Hmmm...nem fogok, ha megigered, hogy neha elgon-
dolkozol azon, amit hallasz!

Zsoltik@
+ - 20Watt ho (mind) VÁLASZ  Feladó: (cikkei)

>Azt szeretnem kerdezni, hogy pl. ha a hagyomanyos 20W-ot
>fogyaszto izzonal a 20W-os halogen izzo fenyesebb, akkor miert
>termel tobb hot? Hol van itt az energia-megmaradas?

Az izzok altalaban 5-12%-os hatasfokkal uzemelnek.
A hofejlodes kulonbsegenek az is oka lehet, hogy
a halogen izzonak nem annyi a hatasfoka, mint
a mezei izzonak. Masodik variacio, hogy megint
atvert valaki...
Zsoltik@
+ - cows (mind) VÁLASZ  Feladó: (cikkei)

Hello Kedves Tippelok!

    Nagyon tudnek orulni, ha valaki tudna nekem segiteni.
    Anno (tyu de reg volt) lattam olyan kis aranyos tehe'n a'bra'kat, 
    (biztos Ti is ismeritek) es ezeket szeretnem valahonnan letolteni.
    Szoval arra a cimre volnek kivancsi, ahol ezek az allatkak 
    talalhatok. Vagy ha Valaki volna olyan kedves es elkuldene
    nekem oket. :))

Elore is koszonom. Udvozlettel:
                                    -viktor-
+ - ucn kartya (mind) VÁLASZ  Feladó: (cikkei)

>Az itt is tobbszor ismertetett www.ucnvoice.com kartyak szombat ota nem
>mukodnek, becslesem szerint a ceg tonkrement. Ha ilyen kartyad van, es
>hitelkartyaval fizettel, akkor talan meg meg tudod allitani, hogy
>kifizessek nekik. Ez csak egy otlet, nem biztos, hogy lehetseges, majd
>meglatom ma este (nekem ugy $50-ral maradtak adosak).

>Jozsi

Nem csodalnam hogy tonkre ment, olyan sok baj volt vele. Nekem hetekig 
tartott mire a kartyat kuldtek. Eloszor nem kaptak meg a fax-et, azutan nem 
talaltak, azutan nem tudtak ki kuldtek-e, stb. Azutan ket kartyat kaptam 
egymas utan. Az egyiket lehasznaltam, a masikbol is fel orat, persze egy 
araert. De a hivasok, a kartya hasznalata mindig problemas volt, majd 
mindig szetkapcsolt. Legalabb a penzem kihasznaltam belole, foleg ha a 42 
cent hivashoz viszonyitjuk. IMHO, olcso kartyanak hig a hasznalata. 

Gabor
+ - re: 26 cent/min Mo-ra :-( (mind) VÁLASZ  Feladó: (cikkei)

Sikerult kideritenem egy mukodo customer support szamukat, ahol tenyleg
van egy ember: 888-826-6573, es eskudozik egre folre, hogy technikai
problemaik vannak a vonalakkal, amin a technikusok dolgoznak. Szerintem
ez marhasag, mert ez egy reseller ceg, nyilvan nincsenek egyaltalan sajat
vonalaik. De ha ti tobbet tudtok meg tole, irjatok meg.

Jozsi
+ - erintokepernyo (mind) VÁLASZ  Feladó: (cikkei)

Hello Tippelok !

Magyarorszagi erintokepernyos tapasztalatok,
felhasznalok, fejlesztok erdekelnenek ....
Tud valaki valamit ??  Email www telfon barmi

Koszi

kkkk
+ - Programok (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok!


Lesz nehany jo program a Fejer Megyei Muvelodesi Kozpontban (Szekesfehervar,
Marx ter 1.).

December 3-an 17 oratol Volt tudomany - Holt tudomany? sorozat eloadasa,
melynek temaja: A XXI. sz. orvoslasa, avagy a gyogyitas maskeppen.
Belepodij: 180.- Ft

December 9-en 18 oratol Hobo Viszockij-est. Belepodij: 600.- Ft.

December 11-en 17 oratol Roberto Magri gitarmuvesz karacsonyi koncertje.
Belepodij: 300.- Ft.

Mindenkit szeretettel varunk!

MMK (tel: 22/313-175)
+ - Re: nyeroter (mind) VÁLASZ  Feladó: (cikkei)

 wrote:

> >Megfigyeltek, hogy azoknak a ferfiaknak, akik tartosan eros
> >nagyfrekvencias terben dolgoznak, 99 szazalekban lanyuk szuletik.
> Ismet egy hatasvadasz tevhit a TIPP oldalain!
> Solton, ahol  1-2 megawattal do:ng az 540 kHz, a helyiek csak
> mosolyognak az ilyen remtorteneteken. (A fenycso trukk, meg a ket
> penzermevel radiohallgatas viszont igaz).

Hi!
Es hova kel tennem a penzermet, a fulemre?
Milyen cimlet a legjobb? Gondolom, nem mindegy, milyen fembol van.

Herold
+ - Re: Matav? Elender? Datanet? (mind) VÁLASZ  Feladó: (cikkei)

 wrote:

> >Miert baj az, hogy szeretnek latni az arcodat, mielott szerzodest kotnek
> >Veled? Ez szerintem teljesen termeszetes. Egyebkent sok partnerceguk van, a-
> >hol szerzodest kothetsz veluk.
>
>    Azert baj, mert a konkurencia nem ker. (Legalabbis Datanet, Alarmix,
> Kibernet, Matavnet nem.) Ez tehat egy olyan megszoritas, ahol az Elender
> hatranyba kerul.

Hi!
Pontositanam a fenti megjegyzest. A Datanetnel is szemelyesen kell
megkotni a szerzodest. Utana meg 2-3 nap, mire megszulik az accountot.

Herold
+ - Re: bunkofonos eset (mind) VÁLASZ  Feladó: (cikkei)

infot kerek wrote:

> Sziasztok! Elmeselek egy tortenetet, szinhely a budai var, november 17-e,
> reggel 9 korul Egy huszoneves srac bunkofonozik a Becsi kapu ter kozepe
> szelen, eleg hangosan. Egyszercsak egy feher Golf erkezik relativ
> gyorshajtassal, atmegy a behajtani tilossal jelzett szakaszon, majd a ter
> tulso oldalan leall, es visszatolat. A srac mellett megall, az anyos
> ulesrol kipattan egy kisportolt ferfi fekete borcuccban, elkeri a sractol
> a bunkofont, majd kiveszi a kartyat is.
> A kocsibol kiszall egy egyenruhas fiatal rendor is, o pedig a srac
> igazolvanyait kezdi vizsgalni, es mindketten buzgon jegyzetelnek.
> Nekem busz jott, igy elmentem.
> Szerintetek mi tortenhetett ?

Hi!
Szerintem:

1.: Lopott volt a mobil, a tulaj felhivta, es amig trecselt a tolvajjal,
a zsaruk bemertek (?) es lefuleltek.

2.: A csavo bunozo lehetett, valoszinuleg elkaphattak a tarsat,
de o errol nem tudhatott. A yardrol felhivta a csavot, kiszedte belole, hogy
merre van, a zsaruk meg kimentek a helyszinre es elkaptak.

Azert en megkerdeznek egy I. keruleti zsarut is az esetrol.

Udv.
Herold

--

* * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *
*  Itt a legalkalmasabb pillanat, hogy elhalasszunk mindent!  *
* * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *
+ - Telefonszamvaltozas Spanyolorszagban nov. 14. (mind) VÁLASZ  Feladó: (cikkei)

1998. november 14-en Spanyolorszagban

- a mobil telefonok szama elso szamjegye 9-rol 6-ra valtozott:
peldaul 00 34 909 644 381 helyett 00 34 609 644 381 az uj szam.

(Mobil telefon szamok a tapasztalatom szerint peldaul 908, 909,
910, 919, 929, 930, 970, 989-cel kezdodnek)

- A spanyolorszagbol kezdemenyezett nemzetkozi tavhivasnal 
a nemzetkozi forgalomvalaszto szam 07-rol 00-ra valtozott. 
Tapasztalatom szerint a 07 utan volt masodik tarcsahang,
a 00 utan nem hallottam 2. T hangot.

- Mintegy 2 honapig a regi szam is ervenyben marad, utana egy honapig
(spanyol nyelvu) szovegbemondas tajekoztatja a tevesen hivokat.
+ - Bernadotte (mind) VÁLASZ  Feladó: (cikkei)

Kerdes: Svedorszagban meg mindig a Bernadotte dinasztia uralkodik?
Es ha nem, akkor melyik uralkodocsalad es miota?

Gabor
+ - Terero (mind) VÁLASZ  Feladó: (cikkei)

>>Megfigyeltek, hogy azoknak a ferfiaknak, akik tartosan eros
>>nagyfrekvencias terben dolgoznak, 99 szazalekban lanyuk szuletik.
>Ismet egy hatasvadasz tevhit a TIPP oldalain!
>Solton, ahol  1-2 megawattal do:ng az 540 kHz, a helyiek csak
>mosolyognak az ilyen remtorteneteken. (A fenycso trukk, meg a ket
>penzermevel radiohallgatas viszont igaz).
>A kopaszodas-effektus, mint biologiai hatas viszont elgondolkodtato,
>bar hatarozott orvosi nyilatkozattal meg nem talalkoztam.
> .................................................................
> Ludmany 
Miert Solton kopaszodnak az emberek?

Egyebkent en egy evig egy lokatorban szoptam. A  alatt
ment at az 1MW impulzusteljesitmenyu nagyfrekis delej.
100%-ban lanyom szuletett (2) es kopaszodom.
Most akkor perelhetem a hadsereget egeszsegkarosodas miatt
tobb mint 10 ev utan?

***********************************
    Akos   
***********************************
+ - terero (mind) VÁLASZ  Feladó: (cikkei)

Koszonom a hozzaszolasokat, tanacsokat terero ugyben!

                 Katona Zsolt
+ - TV zavar (mind) VÁLASZ  Feladó: (cikkei)

Kihivtam a helyi (zugloi) kabelTV karbantartoit, mert nagyon szemcses 
a kep. Nem mindig azonos mertekben, de van ugy, hogy az MTV1 szinte 
teljesen nezhetetlen, es ugy altalaban a magyar adok mindig rossz 
minosegben foghatok.
Nem mertek semmit, ranezesre megallapitottak, hogy a kabel rossz.
Mondtam, hogy kiprobaltam a video kabelevel is, valasz:
"Nem szabad ilyen szar ontott kabeleket venni, minden zajt 
osszeszednek, nicsenek rendesen arnyekolva. Vegyek meterre ...kabelt 
pl. a ...antenna-boltban."
Lehet, hogy tenyleg a kabellel van a gond, vagy csak folosleges 
penzkidobas lenne uj kabelt vennem? 
Koszonom a valaszokat:
                              Zsolt
+ - tippet kernek! (mind) VÁLASZ  Feladó: (cikkei)

Ha valakinek van jo otlete vagy tapasztalata lakodalom feldobasara, emlekezetes
se tetelere (nem feltetlenul a menyasszony elcsabitasaval), az kerem, irja meg 
nekem a  cimre. 
Elore is koszonom! 
galigo
+ - rajzfil szerkeszto progi (mind) VÁLASZ  Feladó: (cikkei)

Vagy 4-5 eve volt egy rajz film szerkszto prg .Sajnos nevet sem tudom.
Ha valaki tudna ajanlani egyet  "vagy kettot" valami seged anyaggal
Leiras fele,prg nev,hol vagy hogyan lehet beszerezni.
Lattam igen profit, de az anyagi resze kicsitt eros,hadver igenye sem elhanyago
lhato.
A baratom cimere kuld el ajanlataid vagy otletet.
.......................                         
 Szabo Istvan         
~ˇ~ˇ~ˇ~ˇ~ˇ~ˇ~
´´´˝˝˝¨¨¨¨¨˝˝˝˝´´
e-mail:                
+ - hol kaphato (mind) VÁLASZ  Feladó: (cikkei)

hol lehet hozzajutni Kawasaki KZ 550 (gy.ev 1983) szervizkonyvhoz?
valaszokat maganban kerek, ha lehet
Peter
+ - Cimet keresek (mind) VÁLASZ  Feladó: (cikkei)

Meg tudna valaki adni Fa Nandor elerhetoseget (levelcim, telefon, 
emil, stb)? Valaszokat maganlevelben kerek. Koszi elore is.
Peter
+ - Az ACDSee32 regisztrációja (mind) VÁLASZ  Feladó: (cikkei)

Köszönet mindenkinek a segitsegert

By-by
+ - Vizsla (mind) VÁLASZ  Feladó: (cikkei)

Hello!

Koltozes miatt szeretnem elajandekozni magyar vizsla kutyamat. Fajtatiszta, de
nincs torzskonyvezve, masfel eves szuka. Kertes hazbol tombbe koltozunk,
raadasul masik helysegbe. Most Nagyreden, Gyongyos mellett lakunk. Email-eket
varom maganba is, vagy hivjatok a 20/9517-411 telefonon.
Sziasztok

Gyula

+ - change of address (mind) VÁLASZ  Feladó: (cikkei)

Please note that my e-mail address has been changed for 
<
+ - [HIRDETES] munkat keresek (mind) VÁLASZ  Feladó: (cikkei)

Forditoi es nemet nyelvtanari diplomaval otthon vegezheto forditoi munkat
keresek.

Rendelkezem otthoni szamitogeppel, faxmodemmel, nyomtatoval.

Eddig foleg kereskedelmi, jogi, epitesugyi, muszaki teren vallaltam
forditast(volt egy orvosi is), de irodalmi jellegu megbizas is erdekel.

Oneletrajz: www.elender.hu/~gloner
Tisztelettel:
Glo'ner Csaba
+ - [HIRDETES] Elado ACORP TX alaplap (mind) VÁLASZ  Feladó: (cikkei)

Elado ACORP TX-es alaplap
 83MHz maximalis orajelfreki ;  ossze proci jo bele + MMX proci tamogatas
 PIO4, UltraDMA 33 ; USB port ; IrDA port
 PS/2 mouse port ; 3 EDO ram es 2 SDRAM memoriahely
es mintent tud amit a tobbi TX-es alaplap.
Az alaplap 6 honapos, linux alatt mukodott hibatlanul.

Ara: 13eFt
                                                    -=> B. Karesz <=-
+ - [HIRDETES] Lakas elado (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok! Elado a lakasunk. Nagyon remek kis 51 m2-es, kozel a Nagyvarad
terhez (Telepy u.). Masfel szobas (nagyszoba kb. 27 m2, kisszoba 10 m2),
furdoszoba, konyhafulke. Futese: gazkonvektoros, bojleres, rezsi igen
alacsony (a futes olcso, nem volt meg 4000 feletti gazszamla, pedig
nincsenek oseim kozt jegesmedvek:-). Tehermentes oroklakas, '95-ben lett
felujitva. Akinek felkeltette az erdeklodeset emilezzen a 
cimre.
Udv
Miklos
-
+ - [HIRDETES] csere-bere (mind) VÁLASZ  Feladó: (cikkei)

Van egy Ericsson GH 198- as mobilfonom, amire nincs
szuksegem, viszont nincs fenykepezogepem, amire lenne.
Ha valakinel ez foditva allna fenn, keressen meg e-mailben.
Gyula
+ - [HIRDETES] Telekocsit keresek Parizsba (mind) VÁLASZ  Feladó: (cikkei)

Szevasztok,
megy valaki autoval Parizsba december 18. korul, ill. jon haza valamikor az ev 
vegen autoval? Persze az se rossz, ha van ket szabad helye is az autoban, egy n
agyon szimpatikus fiatal par szamara, akik beszallnak a benzinkoltsegbe is, es 
ha kell (ferfiui oldalrol) a vezetesbe is szivesen.

Valaszokat telefonon (34 28 215),
vagy mailben varok:


koszi
yoko
+ - [HIRDETES] Elado scanner, kamera es Toshiba notebook (mind) VÁLASZ  Feladó: (cikkei)

Elado :
vadonatuj Primax Colorado 4800 A4, szines lapscanner, 
parhuzamos portra, win95-tel szuper. (12900,--) Opt.felb.:300x600 dpi, 
a driver cd-n karakterfelismero software.
Mitsubishi hifi stereo svhs-c kamera, makro funkcio, kepfeliratozas,
profi gep, felujitott elektronika, 130 e Ft
Toshiba 486 sx szines notebook, 8 M RAM, 300 MB winchester, 1xPCMCIA
port, hanyatt-eger, 120 e Ft

cim : 
+ - [HIRDETES] Fw: baba merleg (mind) VÁLASZ  Feladó: (cikkei)

Egy 15kg-ig mérő hagyományos babamérleg 2500 Ft-ért eladó.
Tel.: 3-51-56-51
+ - [HIRDETES] SIEMENS S3+ (mind) VÁLASZ  Feladó: (cikkei)

Vennek SIEMENS S3 vagy S3+ hibas radiotelefont (aksi nelkul is) csak a kijelzoj
e 
legyen ep!
Ha van elfekvoben,irj egy mailt vagy telefonalj! 20 9 255 153
Udv.j.
+ - [HIRDETES] NOTEBOOK-ot vennék! (mind) VÁLASZ  Feladó: (cikkei)

Koszontok Mindenkit!

Hasznalt notebook-ot vennek,lehetoleg modemmel !

Ajanlatokat a fenti cimre kerem!

Koszonom.Hajdu Attila
+ - [HIRDETES] proci kellene (mind) VÁLASZ  Feladó: (cikkei)

Keresek iP 200-as _nem_  MMX-es procit, csak hibatlant!
 Csere (intel dx4100-ra) is megoldhato, ez esetben rafizetek.
 
 Apatoczky Akos
 
+ - [HIRDETES] Sharp Zaurus 3000+faxmodem (mind) VÁLASZ  Feladó: (cikkei)

a fenti dolog elado, ara faxmodemmel 69e Ft.
leveleket a fenti cimre varom. 
udv 
victorio
+ - [HIRDETES] Lakast keresek (mind) VÁLASZ  Feladó: (cikkei)

Eladó lakast keresek Budapesten 1,5 M forint körül. Valaszokat a
 <mailto:>  <mailto:
<mailto:> >  -ra vagy esetleg telefonon munkaidoben (
328-4914 )
Slyuch Gabor
+ - [HIRDETES] 5,8 literes ZEPPTER edenyek eladok (mind) VÁLASZ  Feladó: (cikkei)

Iranyar: 316 DM (Ft-ban is lehet fizetni). Reszletfizetes megbeszeles
alapjan lehetseges.

Erdeklodni kizarolag Suslecz Tundenel lehet a kovetkezo telefonszamokon:
88/407-913, 06 20/9664-246.

Tisztelettel: Jakab Zoltan.
+ - [HIRDETES] Wichester (mind) VÁLASZ  Feladó: (cikkei)

Hatvan vagy Godollo kornyeken keresnek elado 500-1000MB.-
os winchestert,10k huf.korul!

CIM:
+ - [HIRDETES] ELADO (mind) VÁLASZ  Feladó: (cikkei)

ELADO!
Surgosen megvalnank egy combkozepig ero toksotetkek noi telikabattol.
Sajnos 2 hete megvettuk, de azota lattunk egy tetszetosebbet es ezzel
mar
nem fogunk tudni mit kezdeni. 2X volt viselve.
Fazon: Szoknya formatum. Jo mi! Es milyen az? Kismama formacio.
No mindegy, ha valakit erdekel, hat nem olcso: Ia.: 28.000 HUF
Vettuk 31.000 HUF-ert.
Erd.: E-mail a fenti cimre, vagy 06209417607
Udv Gabor
 ******************
- Ai.: Peace :o) * -
 ******************
+ - [HIRDETES] Sony surround hangszorok (mind) VÁLASZ  Feladó: (cikkei)

Elado 1 db Sony SS-CR 10 center es 2 db SS-CN10 hatso 
hangdoboz. Egy hetig voltak hasznalva, eredeti dobozban, csupan 
az egyik kabel serult egy picit szallitas miatt.
Techn. adatok: 12 cm cone bass; 100-20,000 Hz; 35/70 W; 89 
db/W/m; 8 Ohm; 43x15x15.5 cm; 2.4 kg.
Iranyar: 10 ezer HUF + semmi.
A.
+ - [HIRDETES] OLCSO MATRIX! (mind) VÁLASZ  Feladó: (cikkei)

STAR LC-24-20 nyomtatom olcson eladom.
Leiras, lemez, LCD kijelzo van + ajandek 1 doboz leporello es igeny szerint
szamla.
Atveheto Budan. Hivj: 3192642, esetleg 06-30 992 13 13
+ - [HIRDETES] Themen Neu 1-2... (mind) VÁLASZ  Feladó: (cikkei)

... konyv es munkafuzet ELADO hasznalatlan vadonat uj, tevedesbol lett 
ketto belole.

Erdeklodni az alabbi E-mail cimen, vagy a 306-9700 telefonon lehet


+ - [HIRDETES] PII alaplap elado (mind) VÁLASZ  Feladó: (cikkei)

Hi !

Elado egy megbizhato PII alaplap:
Gigabyte GA628 BX,3 honapos,ATX-es,66-100MHz,5.5szorzó,
AGP,..stb,a hozza valo cd-vel,leirassal.Hibatlanul müködik akit
erdekel irjon vagy hivjon(06 30 9959-391)

Üdv: Janos
+ - [HIRDETES] [hirdetes] (mind) VÁLASZ  Feladó: (cikkei)

Eladosorba kerult egy s mas a haznal:

PC alkatreszek:
Gigabyte TX2 alaplap
Intel 200 MHZ MMX proci,(dobozos)
mini torony haz
S3 V2DX PCI videokártya (max.1 evesek)
Daewoo 15" monitor (kb. 4. eves)
Nokia 1610+bortok+nagy kihangosito

Debrecen es kornyeke elonyben!
30/9671036

AGYKONTROLL ALLAT AUTO AZSIA BUDAPEST CODER DOSZ FELVIDEK FILM FILOZOFIA FORUM GURU HANG HIPHOP HIRDETES HIRMONDO HIXDVD HUDOM HUNGARY JATEK KEP KONYHA KONYV KORNYESZ KUKKER KULTURA LINUX MAGELLAN MAHAL MOBIL MOKA MOZAIK NARANCS NARANCS1 NY NYELV OTTHON OTTHONKA PARA RANDI REJTVENY SCM SPORT SZABAD SZALON TANC TIPP TUDOMANY UK UTAZAS UTLEVEL VITA WEBMESTER WINDOWS